ASIC System Design with VHDL: A Paradigm

Beginning in the mid 1980's, VLSI technology had begun to advance in two directions. Pushing the limit of integration, ULSI (Ultra Large Scale Integration) represents the frontier of the semiconductor processing technology in the campaign to conquer the submicron realm. The application of ULSI,...

Full description

Bibliographic Details
Main Authors: Leung, Steven S., Shanblatt, Michael A. (Author)
Format: eBook
Language:English
Published: New York, NY Springer US 1989, 1989
Edition:1st ed. 1989
Series:The Springer International Series in Engineering and Computer Science
Subjects:
Online Access:
Collection: Springer Book Archives -2004 - Collection details see MPG.ReNa
LEADER 04047nmm a2200385 u 4500
001 EB000626570
003 EBX01000000000000001348653
005 00000000000000.0
007 cr|||||||||||||||||||||
008 140122 ||| eng
020 |a 9781461564737 
100 1 |a Leung, Steven S. 
245 0 0 |a ASIC System Design with VHDL: A Paradigm  |h Elektronische Ressource  |c by Steven S. Leung, Michael A. Shanblatt 
250 |a 1st ed. 1989 
260 |a New York, NY  |b Springer US  |c 1989, 1989 
300 |a 232 p. 20 illus  |b online resource 
505 0 |a 1. Introduction -- 1.1 Problem Statement -- 1.2 Approach -- 1.3 Organization of this Book -- 2. Background -- 2.1 The ASIC Challenge -- 2.2 Computer Architecture Design for Robotic Control -- 2.3 Robotic Kinematics -- 2.4 Summary -- 3. A Conceptual Framework for ASIC Design -- 3.1 The Nature of ASIC Design -- 3.2 The ASIC Design Process -- 3.3 The ASIC Design Hyperspace -- 3.4 The ASIC Design Repertoire -- 3.5 Summary -- 4. The IKS Chip Design Paradigm -- 4.1 Introduction -- 4.2 An ASIC Architecture Design Methodology -- 4.3 The IKS Chip Architecture Design -- 4.4 Summary -- 5. VHDL Simulation of the IKS Chip -- 5.1 Introduction -- 5.2 VHDL Fundamentals -- 5.3 Simulation Objective and Modeling Approach -- 5.4 VHDL Description of the IKS Chip -- 5.5 Simulation Results -- 5.6 Summary -- 6. Conclusion -- 6.1 Summary -- 6.2 Implications and Future Research -- Appendices -- Appendix A. The Closed Form IKS Algorithm for the PUMA -- Appendix B. The IKS Algorithm in Pseudocodes -- Appendix C. Control Signal Definition -- Appendix D. The MACC Encoding Scheme and Code-Maps -- Appendix E. The MACC Microcode for Computing the IKS. 
653 |a Control, Robotics, Automation 
653 |a Electrical and Electronic Engineering 
653 |a Electrical engineering 
653 |a Computers 
653 |a Computer Hardware 
653 |a Electronic circuits 
653 |a Control engineering 
653 |a Robotics 
653 |a Electronic Circuits and Systems 
653 |a Automation 
700 1 |a Shanblatt, Michael A.  |e [author] 
041 0 7 |a eng  |2 ISO 639-2 
989 |b SBA  |a Springer Book Archives -2004 
490 0 |a The Springer International Series in Engineering and Computer Science 
028 5 0 |a 10.1007/978-1-4615-6473-7 
856 4 0 |u https://doi.org/10.1007/978-1-4615-6473-7?nosfx=y  |x Verlag  |3 Volltext 
082 0 |a 621.3815 
520 |a Beginning in the mid 1980's, VLSI technology had begun to advance in two directions. Pushing the limit of integration, ULSI (Ultra Large Scale Integration) represents the frontier of the semiconductor processing technology in the campaign to conquer the submicron realm. The application of ULSI, however, is at present largely confined in the area of memory designs, and as such, its impact on traditional, microprocessor-based system design is modest. If advancement in this direction is merely a natural extrapolation from the previous integration generations, then the rise of ASIC (Application-Specific Integrated Circuit) is an unequivocal signal that a directional change in the discipline of system design is in effect. In contrast to ULSI, ASIC employs only well proven technology, and hence is usually at least one generation behind the most advanced processing technology. In spite of this apparent disadvantage, ASIC has become the mainstream of VLSI design and the technology base of numerous entrepreneurial opportunities ranging from PC clones to supercomputers. Unlike ULSI whose complexity can be hidden inside a memory chip or a standard component and thus can be accommodated by traditional system design methods, ASIC requires system designers to master a much larger body of knowledge spanning from processing technology and circuit techniques to architecture principles and algorithm characteristics. Integrating knowledge in these various areas has become the precondition for integrating devices and functions into an ASIC chip in a market-oriented environment. But knowledge is of two kinds