A Practical Approach to VLSI System on Chip (SoC) Design A Comprehensive Guide

Now in a thoroughly revised second edition, this practical practitioner guide provides a comprehensive overview of the SoC design process. It explains end-to-end system on chip (SoC) design processes and includes updated coverage of design methodology, the design environment, EDA tool flow, design d...

Full description

Bibliographic Details
Main Author: Chakravarthi, Veena S.
Format: eBook
Language:English
Published: Cham Springer International Publishing 2022, 2022
Edition:2nd ed. 2022
Subjects:
Online Access:
Collection: Springer eBooks 2005- - Collection details see MPG.ReNa
Table of Contents:
  • Introduction
  • SoC Design Methodology
  • SOC Design Infrastructure
  • System on Chip Components
  • DFT and Synthesis
  • Static Timing Analysis (STA)
  • VLSI System Verification
  • Physical Design
  • Advanced Techniques
  • Reference Design: Specification to Layout