Embedded computing for high performance efficient mapping of computations using customization, code transformations and compilation

Embedded Computing for High Performance: Design Exploration and Customization Using High-level Compilation and Synthesis Tools provides a set of real-life example implementations that migrate traditional desktop systems to embedded systems. Working with popular hardware, including Xilinx and ARM, th...

Full description

Bibliographic Details
Main Authors: Cardoso, João M. P., Coutinho, José Gabriel de Figueiredo (Author), Diniz, Pedro C. (Author)
Format: eBook
Language:English
Published: Cambridge, MA Morgan Kaufmann Publishers, an imprint of Elsevier 2017
Subjects:
Online Access:
Collection: O'Reilly - Collection details see MPG.ReNa
Description
Summary:Embedded Computing for High Performance: Design Exploration and Customization Using High-level Compilation and Synthesis Tools provides a set of real-life example implementations that migrate traditional desktop systems to embedded systems. Working with popular hardware, including Xilinx and ARM, the book offers a comprehensive description of techniques for mapping computations expressed in programming languages such as C or MATLAB to high-performance embedded architectures consisting of multiple CPUs, GPUs, and reconfigurable hardware (FPGAs). The authors demonstrate a domain-specific language (LARA) that facilitates retargeting to multiple computing systems using the same source code. In this way, users can decouple original application code from transformed code and enhance productivity and program portability. After reading this book, engineers will understand the processes, methodologies, and best practices needed for the development of applications for high-performance embedded computing systems
Physical Description:xxi, 297 pages illustrations (some color)
ISBN:9780128041994