Writing Testbenches Functional Verification of HDL Models

CHAPTER 6 Architecting Testbenches 221 Reusable Verification Components 221 Procedural Interface 225 Development Process 226 Verilog Implementation 227 Packaging Bus-Functional Models 228 Utility Packages 231 VHDL Implementation 237 Packaging Bus-Functional Procedures 238 240 Creating a Test Harness...

Full description

Bibliographic Details
Main Author: Bergeron, Janick
Format: eBook
Language:English
Published: New York, NY Springer US 2000, 2000
Edition:1st ed. 2000
Subjects:
Online Access:
Collection: Springer Book Archives -2004 - Collection details see MPG.ReNa
LEADER 03174nmm a2200337 u 4500
001 EB000614248
003 EBX01000000000000000467330
005 00000000000000.0
007 cr|||||||||||||||||||||
008 140122 ||| eng
020 |a 9780306476877 
100 1 |a Bergeron, Janick 
245 0 0 |a Writing Testbenches  |h Elektronische Ressource  |b Functional Verification of HDL Models  |c by Janick Bergeron 
250 |a 1st ed. 2000 
260 |a New York, NY  |b Springer US  |c 2000, 2000 
300 |a XXII, 354 p. 14 illus  |b online resource 
505 0 |a What is Verification? -- Verification Tools -- The Verification Plan -- Behavioral Hardware Description Languages -- Stimulus and Response -- Architecting Testbenches -- Simulation Management 
653 |a Computer-Aided Engineering (CAD, CAE) and Design 
653 |a Electrical and Electronic Engineering 
653 |a Electrical engineering 
653 |a Computers 
653 |a Computer Hardware 
653 |a Electronic circuits 
653 |a Computer-aided engineering 
653 |a Electronic Circuits and Systems 
041 0 7 |a eng  |2 ISO 639-2 
989 |b SBA  |a Springer Book Archives -2004 
028 5 0 |a 10.1007/b116577 
856 4 0 |u https://doi.org/10.1007/b116577?nosfx=y  |x Verlag  |3 Volltext 
082 0 |a 621.3815 
520 |a CHAPTER 6 Architecting Testbenches 221 Reusable Verification Components 221 Procedural Interface 225 Development Process 226 Verilog Implementation 227 Packaging Bus-Functional Models 228 Utility Packages 231 VHDL Implementation 237 Packaging Bus-Functional Procedures 238 240 Creating a Test Harness 243 Abstracting the Client/Server Protocol Managing Control Signals 246 Multiple Server Instances 247 Utility Packages 249 Autonomous Generation and Monitoring 250 Autonomous Stimulus 250 Random Stimulus 253 Injecting Errors 255 Autonomous Monitoring 255 258 Autonomous Error Detection Input and Output Paths 258 Programmable Testbenches 259 Configuration Files 260 Concurrent Simulations 261 Compile-Time Configuration 262 Verifying Configurable Designs 263 Configurable Testbenches 265 Top Level Generics and Parameters 266 Summary 268 CHAPTER 7 Simulation Management 269 Behavioral Models 269 Behavioral versus Synthesizable Models 270 Example of Behavioral Modeling 271 Characteristics of a Behavioral Model 273 x Writing Testbenches: Functional Verification of HDL Models Modeling Reset 276 Writing Good Behavioral Models 281 Behavioral Models Are Faster 285 The Cost of Behavioral Models 286 The Benefits of Behavioral Models 286 Demonstrating Equivalence 289 Pass or Fail? 289 Managing Simulations 292 294 Configuration Management Verilog Configuration Management 295 VHDL Configuration Management 301 SDF Back-Annotation 305 Output File Management 309 Regression 312 Running Regressions 313 Regression Management 314 Summary 316 APPENDIX A Coding Guidelines 317 Directory Structure 318 VHDL Specific 320 Verilog Specific 320 General Coding Guidelines 321 Comments 321 Layout 323 Syntax 326 Debugging 329 Naming Guidelines 329 Capitalization 330 Identifiers 332 Constants 334 334 HDL SpecificFilenames 336 HDL Coding Guidelines 336 337 Structure 337 Layout