The Quintessential PIC® Microcontroller

The Quintessential PIC® Microcontroller deals with the central `intelligence’ of most smart embedded digital systems and aims to give the reader the confidence to design, construct and program a real working system using the industrial standard and popular Microchip PIC family of devices as the exem...

Full description

Bibliographic Details
Main Author: Katzen, Sid
Format: eBook
Language:English
Published: London Springer London 2005, 2005
Edition:2nd ed. 2005
Series:Computer Communications and Networks
Subjects:
Online Access:
Collection: Springer eBooks 2005- - Collection details see MPG.ReNa
Description
Summary:The Quintessential PIC® Microcontroller deals with the central `intelligence’ of most smart embedded digital systems and aims to give the reader the confidence to design, construct and program a real working system using the industrial standard and popular Microchip PIC family of devices as the exemplar. Aimed specifically at a readership with no prior knowledge of software, electronics or logic design, this book is suitable for both industrial engineers and hobbyists. Students of Electronic Engineering and Computer Science, taking relevant courses or engaged in project work at either an under- or postgraduate level, will find it an ideal textbook. The second edition has been extensively rewritten to both rationalize and clarify the introductory chapters and to update and extend the core material. Whilst the thrust remains with the Mid-range devices, an additional chapter has also been added on the Extended-range family. Key features include: • The use of current real-world hardware and software products to illustrate the material • Numerous fully worked examples as well as self-assessment questions • An associated web site providing solutions, further examples, listings and useful resources at http://www.engj.ulst.ac.uk/sidk/quintessential • Clear and concise presentation of key points and underlying concepts
Physical Description:XII, 567 p online resource
ISBN:9781846282027