Metric Driven Design Verification An Engineer's and Executive's Guide to First Pass Success

Exponentially increasing design complexity has necessitated the adoption of metric driven planning and project management. Metric Driven Design Verification provides the semiconductor industry’s first metric driven based approach to functional verification. A metric based flow is described that focu...

Full description

Bibliographic Details
Main Authors: Carter, Hamilton B., Hemmady, Shankar G. (Author)
Format: eBook
Language:English
Published: New York, NY Springer US 2007, 2007
Edition:1st ed. 2007
Subjects:
Online Access:
Collection: Springer eBooks 2005- - Collection details see MPG.ReNa
LEADER 04465nmm a2200325 u 4500
001 EB000355226
003 EBX01000000000000000208278
005 00000000000000.0
007 cr|||||||||||||||||||||
008 130626 ||| eng
020 |a 9780387381527 
100 1 |a Carter, Hamilton B. 
245 0 0 |a Metric Driven Design Verification  |h Elektronische Ressource  |b An Engineer's and Executive's Guide to First Pass Success  |c by Hamilton B. Carter, Shankar G. Hemmady 
250 |a 1st ed. 2007 
260 |a New York, NY  |b Springer US  |c 2007, 2007 
300 |a XXVII, 361 p. 178 illus  |b online resource 
505 0 |a Analyzing And Driving Verification: An Executive's Guide -- The Verification Crisis -- Automated Metric-Driven Processes -- Roles in a Verification Project -- Overview of a Verification Project -- Verification Technologies -- Managing The Verification Process -- Verification Planning -- Capturing Metrics -- Regression Management -- Revision Control and Change Integration -- Debug -- Executing The Verification Process -- Coverage Metrics -- Modeling and Architectural Verification -- Assertion-Based Verification -- Dynamic Simulation-Based Verification -- System Verification -- Mixed Analog and Digital Verification -- Design for Test -- Case Studies And Commentaries -- Metric-Driven Design Verification: Why Is My Customer a Better Verification Engineer Than Me? -- Metric-Driven Methodology Speeds the Verification of a Complex Network Processor -- Developing a Coverage-Driven SoC Methodology -- From Panic-Driven to Plan-Driven Verification Managing the Transition -- Verification of a Next-Generation Single-Chip Analog TV and Digital TV ASIC -- Management IP: New Frontier Providing Value Enterprise-Wide -- Adelante VD3204x Core, SubSystem, and SoC Verification -- SystemC-based Virtual SoC: An Integrated System-Level and Block-Level Verification Approach from Simulation to Coemulation -- Is Your System-Level Project Benefiting from Collaboration or Headed to Chaos? 
653 |a Electronics and Microelectronics, Instrumentation 
653 |a Electrical and Electronic Engineering 
653 |a Electrical engineering 
653 |a Electronic circuits 
653 |a Electronics 
653 |a Electronic Circuits and Systems 
700 1 |a Hemmady, Shankar G.  |e [author] 
041 0 7 |a eng  |2 ISO 639-2 
989 |b Springer  |a Springer eBooks 2005- 
028 5 0 |a 10.1007/978-0-387-38152-7 
856 4 0 |u https://doi.org/10.1007/978-0-387-38152-7?nosfx=y  |x Verlag  |3 Volltext 
082 0 |a 6,213,815 
520 |a Exponentially increasing design complexity has necessitated the adoption of metric driven planning and project management. Metric Driven Design Verification provides the semiconductor industry’s first metric driven based approach to functional verification. A metric based flow is described that focuses on the four steps of: 1. Planning: Defining what needs to be done and the automatically trackable metrics that will be used to measure progress. 2. Execution: Implementing verification environments and then extensively exercising the device under verification utilizing comprehensive, massively parallel regression strategies. 3. Measurement: Automatically capturing the metrics defined in planning to provide objective data with which to manage the verification project. Custom tailoring those metrics through an automated reporting framework to provide all stakeholders a real-time meaningful view of project status. 4. Response: Utilizing the returned metrics to effectively adapt to changing project conditions. Making use of automated response mechanisms to automate engineering processed and management response to streamline project management processes. The primary audience for this book is professional engineers, managers, and executives. It is written in an easily understandable style and consists of four parts. The first three parts are tailored for executives, engineering managers, and engineers respectively. The fourth part presents case studies and commentaries from industry luminaries and experts on metric driven verification. Metric Driven Design Verification brings together the best practices and real-life experiences of several leading electronic companies worldwide in planning and managing verification projects, while automating critical processes. It addresses all aspects of verification and summarizes the different options available to engineers, managers and executives